1. 株式会社PALTEK
  2. TECHブログ
  3. 技術情報
  4. 【FPGAテストベンチ/検証ノウハウ】シミュレーション豆知識 ~ 波形をアナログ表示にしてみる ~

TECHブログ

【FPGAテストベンチ/検証ノウハウ】シミュレーション豆知識 ~ 波形をアナログ表示にしてみる ~

【FPGAテストベンチ/検証ノウハウ】シミュレーション豆知識 ~ 波形をアナログ表示にしてみる ~

皆さん、こんにちは。
シミュレーションをしていて波形の表示をわかりやすくしたいと思ったことはありませんか?
ModelSim®やVivado®を使い始めの方にちょっとしたテクニックとして、今回は波形の表示方法についてお伝えしたいと思います。

それでは、はじめましょう。

目次

デジタル表示

以下に、あるデータが表示されています。
こちらがどんなデータ内容かすぐにわかりますか?

出典:ModelSim® SE-64 2021.03 WAVW画面


 
正解はこちら
(右の「+ボタン」を押してください)

はい、Sin波形でした。

出典:ModelSim® SE-64 2021.03 WAVW画面

値からなんとなくわかった方もいらっしゃるかと思いますが、単純に16進数の数字が羅列しているだけでは気が付きにくいかもしれません。
どのようなデータを扱うかにもよりますが、定量的な値の場合このようにアナログ表示にすることによって見やすくなることがあります。

ModelSim®での設定方法

アナログ表示させたい波形を右クリックし、「Format」→「Analog (automatic)」を選択します。

出典:ModelSim® SE-64 2021.03 WAVW画面


もし自分が期待する表示と異なる場合には、「Analog (custom)」で各項目を好みの内容に設定してください。

出典:ModelSim® SE-64 2021.03 WAVW画面

Vivado®での設定方法

アナログ表示させたい波形を右クリックし、「Waveform Style」→「Analog」を選択します。

出典:Vivado® 2020.2 シミュレーション画面


もし自分が期待する表示と異なる場合には、「Analog Settings」で各項目を好みの内容に設定してください。

出典:Vivado® 2020.2 シミュレーション画面

この方法はシミュレーション以外にアナライザの表示波形でも行うことができます。


いかがでしょうか。
アナログ表示を行うと全体的な値の動きが視覚的にとらえやすいメリットもありますが、微妙な値の変化は気が付きにくいといったデメリットもありますので、場面に応じて使い分けてみてください。

最後までご覧いただきましてありがとうございました!
これからもブログを通じて、皆様の設計にお役立てできればと思います。
次回のブログもどうぞよろしくお願いいたします。


お問い合わせはこちら

弊社では各種デバイスの取り扱いやセミナーを行っています。ご興味のある方は下記URLをご覧ください。

このブログのシリーズ

関連ブログ